use strict; use Bit::Vector; sub frac_bin { my $frac = shift; my $i = int( $frac * 2 ** 32 ); print "input: $frac\n"; my $vec = Bit::Vector->new_Dec( 32, $i ); my $result = $vec->to_Bin; print "binary fraction: $result\n"; return $result; } my $bits; $bits = frac_bin ( 0.5 ); $bits = frac_bin ( 0.75 ); $bits = frac_bin ( 1 / 3 );