print "module( ... ... $display("The time is %d",$time)\n"; ^_OPEN ^_CLOSE ^_OPEN ^_CLOSE