vec( vec( $bitvector, $n >> 5, 64 ), $n & 0x1f, 1 ) = 1;