use pack_A; # implicitly calls pack_A->import() pack_B->import(); # explicitly call pack_B->import()