my $end = $delay->begin; ... $end->();