$hex = 0x1234; #prints 4660